Industrielle Fertigung
Industrielles Internet der Dinge | Industrielle Materialien | Gerätewartung und Reparatur | Industrielle Programmierung |
home  MfgRobots >> Industrielle Fertigung >  >> Industrial programming >> Verilog

D Asynchroner Flip-Flop-Reset

Ein D-Flipflop ist ein sequentielles Element, das dem Eingangspin d folgt am gegebenen Rand einer Uhr.

Design Nr. 1:Mit asynchronem Active-Low-Reset

  
  
module dff 	( input d,
              input rstn,
              input clk,
              output reg q);
	
	always @ (posedge clk or negedge rstn) 
       if (!rstn)
          q <= 0;
       else
          q <= d;
endmodule

  

Hardware-Schema

Testbench

  
  
module tb_dff;
	reg clk;
	reg d;
	reg rstn;
	reg [2:0] delay;
	
    dff  dff0 ( .d(d),
                .rsnt (rstn),
                .clk (clk),
                .q (q));
    
    // Generate clock
    always #10 clk = ~clk;
                   
    // Testcase
    initial begin
    	clk <= 0;
    	d <= 0;
    	rstn <= 0;
    	
    	#15 d <= 1;
    	#10 rstn <= 1;
    	for (int i = 0; i < 5; i=i+1) begin
    		delay = $random;
    		#(delay) d <= i;
    	end
    end
endmodule

  

Design Nr. 1:Mit Sync-Active-Low-Reset

  
  
module dff 	( input d,
              input rstn,
              input clk,
              output reg q);
	
	always @ (posedge clk) 
       if (!rstn)
          q <= 0;
       else
          q <= d;
endmodule

  

Hardware-Schema

Testbench

  
  
module tb_dff;
	reg clk;
	reg d;
	reg rstn;
	reg [2:0] delay;
	
    dff  dff0 ( .d(d),
                .rsnt (rstn),
                .clk (clk),
                .q (q));
    
    // Generate clock
    always #10 clk = ~clk;
                   
    // Testcase
    initial begin
    	clk <= 0;
    	d <= 0;
    	rstn <= 0;
    	
    	#15 d <= 1;
    	#10 rstn <= 1;
    	for (int i = 0; i < 5; i=i+1) begin
    		delay = $random;
    		#(delay) d <= i;
    	end
    end
endmodule

  

Verilog

  1. Einführung in Verilog
  2. Aufladen, zurücksetzen, neu konfigurieren
  3. IT/OT-Konvergenz:Eine Chance für einen kulturellen Neustart
  4. Verilog-Tutorial
  5. 4-Bit-Zähler
  6. Verilog Mod-N-Zähler
  7. Verilog Grey Counter
  8. PID-Fehler:Windup zurücksetzen
  9. 74LS74:Eine allumfassende Anleitung zum Dual Flip-Flop
  10. Was ist die RESET-Taste auf dem CNC-Bedienfeld