Industrielle Fertigung
Industrielles Internet der Dinge | Industrielle Materialien | Gerätewartung und Reparatur | Industrielle Programmierung |
home  MfgRobots >> Industrielle Fertigung >  >> Industrial programming >> VHDL

So installieren Sie kostenlos einen VHDL-Simulator und -Editor

Obwohl VHDL- und FPGA-Tools oft sehr teuer sind, ist es einfach, als Student kostenlos auf modernste Software zuzugreifen. Es gibt mehrere Simulatoren, Editoren und IDEs für die Arbeit mit VHDL. Dieser Artikel zeigt Ihnen, wie Sie zwei der beliebtesten Programme installieren, die von VHDL-Ingenieuren verwendet werden.

VHDL-Simulator

ModelSim von Siemens EDA (ehemals Mentor Graphics) ist der am weitesten verbreitete VHDL-Simulator auf dem Markt, und die Chancen stehen gut, dass Sie entweder ModelSim oder die QuestaSim-Variante des Programms in Ihrem ersten VHDL-Job verwenden müssen. Es ist eine gute Designpraxis, Ihren Code so portabel wie möglich zu gestalten, und der Code in diesem Blog bildet da keine Ausnahme. Sollten Sie Zugriff auf einen anderen VHDL-Simulator haben, verwenden Sie ihn einfach. es sollte ähnlich funktionieren.

Lizenzen für VHDL-Simulatoren sind sehr kostspielig, aber glücklicherweise sind mehrere kostenlose und legale Versionen des ModelSim VHDL-Simulators verfügbar.

Klicken Sie hier, um eine Liste mit kostenlosen VHDL-Simulator-Installationsoptionen für Windows und Linux anzuzeigen , oder Mac

VHDL-Editor

Sie können Code direkt im integrierten Editor in ModelSim schreiben, aber Sie sind besser dran, einen externen Editor zu verwenden. Für meine Tutorial-Serie werde ich Notepad++ verwenden, das eine gute, kostenlose Alternative für Windows ist. Es hat auch ein VHDL-Plugin für die Syntaxhervorhebung.

Hier ist ein Screencast zum Herunterladen und Installieren des Editors und des VHDL-Plugins:

Wenn Sie ModelSim und Notepad++ erfolgreich installiert haben, haben Sie alles, was Sie brauchen, um mit dem Erlernen von VHDL zu beginnen!

Nehmen Sie die grundlegenden VHDL-Tutorials hier>>

Vielleicht denken Sie:„Was ist mit Hardware? Brauche ich nicht ein FPGA-Entwicklungsboard oder so etwas?“. Irgendwann werden Sie Ihren Code auf echter Hardware ausprobieren wollen, aber für den alleinigen Zweck, VHDL zu lernen, ist ein Simulator alles, was Sie brauchen.


VHDL

  1. Grundlagen von SRAM PUF und deren Bereitstellung für die IoT-Sicherheit
  2. So erstellen Sie eine Liste von Zeichenfolgen in VHDL
  3. So erstellen Sie eine Tcl-gesteuerte Testbench für ein VHDL-Code-Sperrmodul
  4. So verwenden Sie eine unreine Funktion in VHDL
  5. So verwenden Sie eine Funktion in VHDL
  6. So verwenden Sie eine Prozedur in VHDL
  7. So erstellen Sie einen Timer in VHDL
  8. So erstellen Sie einen getakteten Prozess in VHDL
  9. So verwenden Sie Konstanten und generische Karten in VHDL
  10. So installieren und ersetzen Sie Trommelbremsen richtig