Industrielle Fertigung
Industrielles Internet der Dinge | Industrielle Materialien | Gerätewartung und Reparatur | Industrielle Programmierung |
home  MfgRobots >> Industrielle Fertigung >  >> Manufacturing Technology >> Industrietechnik

Eine kurze Einführung in die Sprachen Verilog und HDL

Einführung:

In diesem Artikel stellen wir Ihnen VHDL und Verilog vor. Wir werden auch die grundlegende Struktur eines Verilog-Moduls studieren und uns mit dem Verilog-Datentyp „wire“ und seiner Vektorform vertraut machen. Wir erklären Ihnen auch den grundlegenden Unterschied zwischen Verilog und VHDL.

Was ist Verilog?

Verilog ist eine Art Hardwarebeschreibungssprache (HDL), die als IEEE 1364 standardisiert ist. Sie wird am häufigsten zur Beschreibung elektronischer Schaltungen und Systeme verwendet, die beim Entwurf und der Verifizierung digitaler Schaltungen verwendet werden.

Was ist VHDL?

VHDL ist auch eine Art Hardwarebeschreibungssprache (HDL), die in der Elektronikdesignautomatisierung verwendet wird, um das Verhalten und die Struktur elektronischer Systeme zu beschreiben, und ist besonders geeignet als Sprache, um die Struktur und das Verhalten von digitalen elektronischen Hardwaredesigns zu beschreiben herkömmliche digitale Schaltungen.

Benötige ich sowohl Verilog als auch VHDL?

Bevor wir fortfahren, lassen Sie uns diskutieren, ob wir diese beiden Sprachen benötigen? Beide sind leistungsstarke Werkzeuge, die beim Entwerfen komplexer digitaler Schaltungen verwendet werden, aber es steht Ihnen frei, eines zu beherrschen, das Sie verwenden möchten. Sie sollten jedoch über Grundkenntnisse in beiden Sprachen verfügen.

Die Entwicklung von Verilog

Verilog wurde 1984 bei Gateway Design Automation entworfen. 1987 wurde VHDL zum IEEE-Standard, Verilog schaffte es 1995 in die Szene. Heute sind beides mächtige HDLs (Hardware Description Languages). Das Wort „Verilog“ leitet sich von den Wörtern „Verifikation“ und „Logik“ ab. Denn die Sprache sollte zunächst als Simulations- und Verifikationstool eingesetzt werden.

Jetzt werden wir einige Verilog-Konzepte besprechen.

Beispiel 1:

Lassen Sie uns in diesem Beispiel den Verilog-Code für die unten gezeigte Schaltung schreiben.

Es gibt zwei Eingänge und einen Ausgang und die Ports sind ein Bit breit. Die Schaltung zeigt eine UND-Funktion aus den beiden Eingängen, was zu einem Ausgang am Ausgang out1 führt. Die Verilog-Beschreibung kann wie folgt angezeigt werden:

Zeile 1 und 8:

Die Schlüsselwörter „module“ und „endmodule“ werden verwendet, um die Zeilen dazwischen anzugeben, die Circuit_1 beschreiben.

Zeilen 2 bis 6:

Diese Zeilen spezifizieren die Schnittstelle von „Circuit_1“ mit seiner Umgebung. Die Schlüsselwörter „input“ und „output“ zeigen die Ein- und Ausgangsports. Danach gibt das Schlüsselwort „wire“ einen Verilog-Datentyp an, der einen physischen Draht im Design darstellt. In unserem Modul gibt es drei Drähte a, b und out1.

Zeile 7: 

Die Zeile beschreibt die UND-Funktionalität der Schaltung mit dem bitweisen UND-Operator ‚&‘. Das Schlüsselwort „zuordnen; wird verwendet, um a &b auf den Ausgangsport zu legen. Dies wird auch als kontinuierliche Zuweisung bezeichnet, da sie immer aktiv ist, wenn sich der Ausdruck auf der rechten Seite ändert, die linke Seite neu bewertet wird, d. h. ihre Ausgabe aktualisiert sich kontinuierlich.

Vergleich von Beispiel 1 mit dem VHDL-Code:

Die folgende Abbildung zeigt die Verilog- und VHDL-Beschreibungen für das Circuit_1-Modul.

In VHDL müssen wir einige Bibliotheken einbinden, die die Datentypen und Operatoren definieren. Daher ist der VHDL-Code aussagekräftiger. In manchen Fällen ist dies jedoch von Vorteil, wie bei der Modellierung von übergeordneten Systemen.

Vier-Werte-System von Verilog:

In Verilog-Datentypen werden vier Werte verwendet:

Der VHDL-Datentyp „std_logic“ kann neun verschiedene Werte annehmen, aber häufig verwendete Werte sind „0“, „1“, „Z“ und „-“ („-“ steht für einen unbekannten Wert).

Beispiel 2:

Lassen Sie uns im nächsten Beispiel den Verilog-Code für die in der Abbildung unten gezeigte Schaltung (Circuit_2) schreiben.

Der folgende Verilog-Code beschreibt die gezeigte Schaltung:

Die verwendeten Elemente sind ähnlich denen in unserem vorherigen Beispiel, jedoch wird in Zeile 10 ein internes Signal definiert. Das Schlüsselwort „wire“ spezifiziert den Datentyp dieser Verbindung. Der bitweise Operator „~“ wird für NOT- und „|“ für OR-Operationen verwendet. Der bitweise Operator „^“ wird für die XOR-Operation verwendet.

Die folgende Abbildung zeigt sowohl die Verilog- als auch die VHDL-Beschreibung für das Circuit_2-Modul, damit Sie die Unterschiede erkennen können.

Beispiel 3:

Für unser drittes und letztes Beispiel geben wir den Verilog-Code für den in der folgenden Abbildung gezeigten Schaltplan: Sie werden feststellen, dass die roten und blauen Eingabeports mit dem UND-Operator kombiniert sind. Das Ergebnis wird vom Ausgangsport angezeigt. Wir können die Signale gruppieren und sie als Vektor behandeln, was unseren Code kompakt und lesbar macht. Wir können die obige Schaltung mit dem Verilog-Code beschreiben. Wir können den Code aus Listing 1 auch leicht erweitern, um diese Schaltung zu beschreiben.

Denken Sie daran, dass der Indexbereich der Vektoren wie gezeigt aufsteigend ([0:2]) oder absteigend ([2:0]) sein kann. Das absteigende Format ist in der Regel weniger fehleranfällig und kann stattdessen verwendet werden.


Industrietechnik

  1. Eine Einführung in Ösen und ihre Funktionsweise
  2. Die Lieferkette und maschinelles Lernen
  3. Kevlar 101:Was ist es und was sind die Vorteile?
  4. Die Symbiose von E-Commerce und stationärem Handel
  5. Die Räder des Chassis drehen sich und drehen sich … nicht
  6. Die Quick-and-Dirty-Anleitung zu Pumpenkupplungstypen
  7. Was ist der Unterschied zwischen Industrie 4.0 und Industrie 5.0?
  8. Die vielen Arten von Polyurethan und wofür sie verwendet werden
  9. Was ist eine Fräsmaschine und wofür wird sie verwendet?
  10. Festigkeitsprüfung verstehen:Die Grenzen wichtiger Materialien und Teile messen